Skip to content

Commit a720632

Browse files
committed
cpureset
1 parent c9bcc97 commit a720632

File tree

1 file changed

+2
-2
lines changed

1 file changed

+2
-2
lines changed

examples/thread_uart_nexys4/Nexys4_Master.xdc

Lines changed: 2 additions & 2 deletions
Original file line numberDiff line numberDiff line change
@@ -188,8 +188,8 @@ set_property PACKAGE_PIN P2 [get_ports {led[15]}]
188188

189189
##Buttons
190190
#Bank = 15, Pin name = IO_L3P_T0_DQS_AD1P_15, Sch name = CPU_RESET
191-
#set_property PACKAGE_PIN C12 [get_ports btnCpuReset]
192-
#set_property IOSTANDARD LVCMOS33 [get_ports btnCpuReset]
191+
set_property PACKAGE_PIN C12 [get_ports btnCpuReset]
192+
set_property IOSTANDARD LVCMOS33 [get_ports btnCpuReset]
193193
#Bank = 15, Pin name = IO_L11N_T1_SRCC_15, Sch name = BTNC
194194
#set_property PACKAGE_PIN E16 [get_ports btnC]
195195
#set_property IOSTANDARD LVCMOS33 [get_ports btnC]

0 commit comments

Comments
 (0)