Skip to content

Commit 19025a8

Browse files
authored
Merge pull request #613 from diffblue/sequence_implication1
Verilog: KNOWNBUG test for sequence implication
2 parents dfc4e12 + 5a30434 commit 19025a8

File tree

2 files changed

+25
-0
lines changed

2 files changed

+25
-0
lines changed
Lines changed: 10 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,10 @@
1+
KNOWNBUG
2+
sequence_implication1.sv
3+
--bound 20
4+
^EXIT=0$
5+
^SIGNAL=0$
6+
--
7+
^warning: ignoring
8+
--
9+
The sequence implication operator does not pick up the end of the chain
10+
sequence on the left hand side.
Lines changed: 15 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,15 @@
1+
module main(input clk);
2+
3+
reg [31:0] counter = 0;
4+
5+
// 0 1 2 0 1 2 ...
6+
always_ff @(posedge clk)
7+
if(counter == 2)
8+
counter = 0;
9+
else
10+
counter++;
11+
12+
// checks that 1 2 is followed by 0
13+
assert property (@(posedge clk) counter == 1 ##1 counter == 2 |-> ##1 counter == 0);
14+
15+
endmodule : main

0 commit comments

Comments
 (0)